Moto NES FPGA main repository
Revision | 813eda2710dd3ba2150f8dce26a10163a7b893c7 (tree) |
---|---|
Time | 2016-05-02 20:43:50 |
Author | astoria-d <astoria-d@mail...> |
Commiter | astoria-d |
apu address set bug fixex!!!
@@ -149,7 +149,7 @@ begin | ||
149 | 149 | r_nw <= 'Z'; |
150 | 150 | elsif (dma_status = DMA_ST_SETUP) then |
151 | 151 | cpu_addr <= OAMADDR; |
152 | - cpu_d <= dma_addr(dsize * 2 - 1 downto dsize); | |
152 | + cpu_d <= (others => '0'); | |
153 | 153 | r_nw <= '0'; |
154 | 154 | dma_next_status <= DMA_ST_PROCESS; |
155 | 155 | elsif (dma_status = DMA_ST_PROCESS) then |
@@ -379,8 +379,8 @@ begin | ||
379 | 379 | dbg_ppu_scrl_x(7) <= addr(15); |
380 | 380 | dbg_ppu_scrl_y(2 downto 0) <= dbg_p_oam_ce_rn_wn(2 downto 0); |
381 | 381 | dbg_ppu_scrl_y(5 downto 3) <= dbg_plt_ce_rn_wn(2 downto 0); |
382 | --- dbg_disp_ptn_l (7 downto 0) <= dbg_p_oam_addr; | |
383 | --- dbg_disp_ptn_l (15 downto 8) <= dbg_p_oam_data; | |
382 | + dbg_disp_ptn_l (7 downto 0) <= dbg_p_oam_addr; | |
383 | + dbg_disp_ptn_l (15 downto 8) <= dbg_p_oam_data; | |
384 | 384 | |
385 | 385 | dbg_cpu_clk <= cpu_clk; |
386 | 386 | dbg_mem_clk <= mem_clk; |
@@ -412,7 +412,7 @@ begin | ||
412 | 412 | dbg_nes_y , |
413 | 413 | dbg_vga_y , |
414 | 414 | dbg_disp_nt, dbg_disp_attr , |
415 | - dbg_disp_ptn_h, dbg_disp_ptn_l , | |
415 | + dbg_disp_ptn_h, dbg_disp_ptn_l_dummy , | |
416 | 416 | dbg_plt_ce_rn_wn , |
417 | 417 | dbg_plt_addr , |
418 | 418 | dbg_plt_data , |
@@ -405,7 +405,7 @@ begin | ||
405 | 405 | end process; |
406 | 406 | |
407 | 407 | --cpu and ppu clock timing adjustment... |
408 | - clk_cnt_set_p : process (rst_n, ce_n, r_nw, cpu_addr, ppu_clk) | |
408 | + clk_cnt_set_p : process (rst_n, ce_n, r_nw, cpu_addr, ppu_clk, cpu_d, ppu_clk_cnt, ppu_addr_cnt) | |
409 | 409 | begin |
410 | 410 | if (rst_n = '0') then |
411 | 411 | ppu_latch_rst_n <= '0'; |
@@ -1,13 +1,13 @@ | ||
1 | -:2000000078A2FF9A20588E200E8EAD868E8500AD878E850120AE8D20AE8D20AE8D20AE8D71 | |
2 | -:2000200020AE8D20AE8D20009420F48B20BC8A203E8A20D58920DE8720EE83202C812043A5 | |
3 | -:2000400081201883ADA18E8500ADA28E850120AE8D4C6B80AD3D9FD005A900200000ADB585 | |
4 | -:200060008E8500ADB68E850120AE8DA9238D0620A9C18D0620A9D88D0720A9008D0520A9C6 | |
5 | -:20008000008D0520A93C8D0603A91E8D01208D0503A9808D00208D04034C998020068EADF9 | |
6 | -:2000A000168F8500AD178F850120AE8DA9088D0320A9148D0420A94D8D0420A9038D04200F | |
7 | -:2000C000A9648D04206020068EAD278F8500AD288F850120AE8DA9508D0C04A9648D0D04E0 | |
8 | -:2000E000A9038D0E04A9648D0F04A95A8D1004A9648D1104A9038D1204A9328D1304A964DA | |
9 | -:200100008D2004A9658D2104A9038D2204A9C88D2304A91E8D3004A9448D3104A9038D3248 | |
10 | -:2001200004A9C88D3304A9048D14406020068EAD458F8500AD468F850120AE8D209C80201F | |
1 | +:2000000078A2FF9A20648E201A8EAD928E8500AD938E850120BA8D20BA8D20BA8D20BA8D11 | |
2 | +:2000200020BA8D20BA8D20009420008C20C88A204A8A20E18920EA8720FA83202C81204344 | |
3 | +:2000400081201883ADAD8E8500ADAE8E850120BA8D4C6B80AD3D9FD005A900200000ADC155 | |
4 | +:200060008E8500ADC28E850120BA8DA9238D0620A9C18D0620A9D88D0720A9008D0520A9AE | |
5 | +:20008000008D0520A93C8D0603A91E8D01208D0503A9808D00208D04034C998020128EADED | |
6 | +:2000A000228F8500AD238F850120BA8DA9088D0320A9148D0420A94D8D0420A9038D0420EB | |
7 | +:2000C000A9648D04206020128EAD338F8500AD348F850120BA8DA9508D0C02A9648D0D02B4 | |
8 | +:2000E000A9038D0E02A9648D0F02A95A8D1002A9648D1102A9038D1202A9328D1302A964E6 | |
9 | +:200100008D2002A9658D2102A9038D2202A9C88D2302A91E8D3002A9448D3102A9038D3254 | |
10 | +:2001200002A9C88D3302A9028D14406020128EAD518F8500AD528F850120BA8D209C8020F5 | |
11 | 11 | :20014000C68060A212A0E5A9558D7804A9C3187D6604C918F003205480A9558D4B05A9F111 |
12 | 12 | :2001600018796604C946F003205480A9558D1C05A91C8566A9058567A9C338E154C96EF098 |
13 | 13 | :2001800003205480A9558D2204A922850AA904850BA9C301F8C9D7F003205480A9558D9E0B |
@@ -22,109 +22,109 @@ | ||
22 | 22 | :2002A00000000000000000000000000000000000000000000000000000000000000000003E |
23 | 23 | :2002C00000000000000000000000000000000000000000000000000000000000000000001E |
24 | 24 | :2002E0000000000000000000000000000000000000000000000000000000000000000000FE |
25 | -:2003000000A901C901F08020068EADE98E8500ADEA8E850120AE8D60A000A2418600A2008B | |
26 | -:200320008A990004C8A500C95BD004A9418500E600990004C8A901990004C88A6903AA2A9E | |
27 | -:20034000990004C8D0DAA9048D144020068EAD088F8500AD098F850120AE8D60A000B900A4 | |
28 | -:2003600004186901990004C8C8C8C8D0F1A9048D144060207D8320D383205C8340CE0603DF | |
29 | -:20038000D050AD050329E78D01208D0503A93C8D0603AD0703F008CE0703A9314CA483EEF8 | |
30 | -:2003A0000703A932AE00038E0620AE01038E0620A2338E0720AE00038E0620EE0103AE01FD | |
31 | -:2003C000038E06208D0720AD050309188D01208D050360A9008D05208D0203AE0303E8E0D0 | |
32 | -:2003E000F0D002A200A2008E05208E030360ADD88E8500ADD98E850120AE8D08A9004828A2 | |
33 | -:20040000A9EA086829EFC9A0F003205480A9004828A900086829EFC922F003205480A900AC | |
34 | -:200420004828A2A4086829EFC9A0F003205480A9004828A200086829EFC922F00320548019 | |
35 | -:20044000A9004828A02B086829EFC920F003205480A9004828A0BB086829EFC9A0F00320E8 | |
36 | -:200460005480A9004828A000086829EFC922F003205480A9FBA9C348288D0105086829EFFA | |
37 | -:20048000C9E3F003205480A2FBA9C348288E0F05086829EFC9E3F003205480A000A9C3483F | |
38 | -:2004A000288C1005086829EFC9E3F003205480A9C34828AA086829EFC9E1F003205480A910 | |
39 | -:2004C000004828AA086829EFC922F003205480A9C34828A8086829EFC9E1F003205480C04B | |
40 | -:2004E000C3F003205480A9004828A8086829EFC922F003205480C000F003205480A9C348DC | |
41 | -:2005000028BA086829EFC9E1F003205480BA8AA8A900AA9AA9C34828BA086829EFC963F0CD | |
42 | -:2005200003205480E000F00320548098AA9AA259A9C348288A086829EFC961F00320548027 | |
43 | -:20054000A2ACA9C348288A086829EFC9E1F003205480A200A9C348288A086829EFC963F022 | |
44 | -:2005600003205480BA8AA8A200A9C348289A086829EFC963F000A29AA9C348289A08682991 | |
45 | -:20058000EFC9E1F00098AA9AA000A9C3482898086829EFC963F003205480A0B5A9C3482816 | |
46 | -:2005A00098086829EFC9E1F003205480A9C34828A0C0845018A930655008AA6829EFC9A039 | |
47 | -:2005C000F003205480E0F0F003205480A9C34828A0EE8C500538A9AD6D500508AA6829EFB0 | |
48 | -:2005E000C9A1F003205480E09CF003205480A9C34828A0EE8C510538A9116D500508AA682D | |
49 | -:2006000029EFC923F003205480E000F003205480A9C34828A04B8C520538A9646D5205086D | |
50 | -:20062000AA6829EFC9E0F003205480E0B0F003205480A9C34828A08E84E4A9B3A23035B400 | |
51 | -:2006400008AA6829EFC9E1F003205480E082F003205480A9C34828A07E8CE404A981A23024 | |
52 | -:200660003DB40408AA6829EFC963F003205480E000F003205480A9C34828A9B30A08AA681D | |
53 | -:2006800029EFC961F003205480E066F003205480A9C34828A961857BA2CE16AD086829EF63 | |
54 | -:2006A000C9E0F003205480B4ADC0C2F003205480A9C34828A98085E506E5086829EFC963D2 | |
55 | -:2006C000F003205480A4E5C000F003205480A9C34828A90085E5A90124E5086829EFC923ED | |
56 | -:2006E000F003205480A9C34828A94A8D4004A9012C4004086829EFC963F003205480A9C352 | |
57 | -:200700004828A9918DE504A9E5A0F2D9F303086829EFC961F003205480A9C34828A2E58E40 | |
58 | -:20072000E504A9E5A0F2D9F303086829EFC963F003205480A9C34828A27E8ED705A9E585CD | |
59 | -:2007400010A9048511A0F2A945D110086829EFC9E0F003205480BA8650A2D9A9C348289A4C | |
60 | -:20076000086829EFC9E1F000A200A9C348289A086829EFC963F000A6509AA000A90BA9C34B | |
61 | -:20078000482898086829EFC963F00320548098C900F003205480A0B0A900A9C348289808F9 | |
62 | -:2007A0006829EFC9E1F00320548098C9B0F003205480A9768572A9058573A9918D7605A290 | |
63 | -:2007C000A3A9C34828A99961CF08AA6829EFC961F003205480E02BF0032054802860AD5866 | |
64 | -:2007E0008F8500AD598F850120AE8D18900320548038B003205480A900F00320548018E960 | |
65 | -:20080000053003205480D003205480186906100320548038A992E946700320548038A992DB | |
66 | -:20082000E9125003205480A900A200F07B4CA888EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAA4 | |
25 | +:2003000000A901C901F08020128EADF58E8500ADF68E850120BA8D60AD3E9FD00160A000AB | |
26 | +:20032000A2418600A2008A990002C8A500C95BD004A9418500E600990002C8A9019900022B | |
27 | +:20034000C88A6903AA2A990002C8D0DAA9028D144020128EAD148F8500AD158F850120BA2C | |
28 | +:200360008D60AD3E9FD00160A000B90002186901990002C8C8C8C8D0F1A9028D1440602070 | |
29 | +:20038000898320DF8320628340CE0603D050AD050329E78D01208D0503A93C8D0603AD075C | |
30 | +:2003A00003F008CE0703A9314CB083EE0703A932AE00038E0620AE01038E0620A2338E0709 | |
31 | +:2003C00020AE00038E0620EE0103AE01038E06208D0720AD050309188D01208D050360A96A | |
32 | +:2003E000008D05208D0203AE0303E8E0F0D002A200A2008E05208E030360ADE48E8500AD3F | |
33 | +:20040000E58E850120BA8D08A9004828A9EA086829EFC9A0F003205480A9004828A9000826 | |
34 | +:200420006829EFC922F003205480A9004828A2A4086829EFC9A0F003205480A9004828A278 | |
35 | +:2004400000086829EFC922F003205480A9004828A02B086829EFC920F003205480A9004815 | |
36 | +:2004600028A0BB086829EFC9A0F003205480A9004828A000086829EFC922F003205480A965 | |
37 | +:20048000FBA9C348288D0105086829EFC9E3F003205480A2FBA9C348288E0F05086829EF34 | |
38 | +:2004A000C9E3F003205480A000A9C348288C1005086829EFC9E3F003205480A9C34828AAEB | |
39 | +:2004C000086829EFC9E1F003205480A9004828AA086829EFC922F003205480A9C34828A862 | |
40 | +:2004E000086829EFC9E1F003205480C0C3F003205480A9004828A8086829EFC922F0032032 | |
41 | +:200500005480C000F003205480A9C34828BA086829EFC9E1F003205480BA8AA8A900AA9AD8 | |
42 | +:20052000A9C34828BA086829EFC963F003205480E000F00320548098AA9AA259A9C3482810 | |
43 | +:200540008A086829EFC961F003205480A2ACA9C348288A086829EFC9E1F003205480A20009 | |
44 | +:20056000A9C348288A086829EFC963F003205480BA8AA8A200A9C348289A086829EFC963C2 | |
45 | +:20058000F000A29AA9C348289A086829EFC9E1F00098AA9AA000A9C3482898086829EFC956 | |
46 | +:2005A00063F003205480A0B5A9C3482898086829EFC9E1F003205480A9C34828A0C08450FF | |
47 | +:2005C00018A930655008AA6829EFC9A0F003205480E0F0F003205480A9C34828A0EE8C50F6 | |
48 | +:2005E0000538A9AD6D500508AA6829EFC9A1F003205480E09CF003205480A9C34828A0EE56 | |
49 | +:200600008C510538A9116D500508AA6829EFC923F003205480E000F003205480A9C348289C | |
50 | +:20062000A04B8C520538A9646D520508AA6829EFC9E0F003205480E0B0F003205480A9C33E | |
51 | +:200640004828A08E84E4A9B3A23035B408AA6829EFC9E1F003205480E082F003205480A9C8 | |
52 | +:20066000C34828A07E8CE404A981A2303DB40408AA6829EFC963F003205480E000F003208C | |
53 | +:200680005480A9C34828A9B30A08AA6829EFC961F003205480E066F003205480A9C34828F8 | |
54 | +:2006A000A961857BA2CE16AD086829EFC9E0F003205480B4ADC0C2F003205480A9C348283F | |
55 | +:2006C000A98085E506E5086829EFC963F003205480A4E5C000F003205480A9C34828A9004C | |
56 | +:2006E00085E5A90124E5086829EFC923F003205480A9C34828A94A8D4004A9012C400408C0 | |
57 | +:200700006829EFC963F003205480A9C34828A9918DE504A9E5A0F2D9F303086829EFC96119 | |
58 | +:20072000F003205480A9C34828A2E58EE504A9E5A0F2D9F303086829EFC963F0032054806E | |
59 | +:20074000A9C34828A27E8ED705A9E58510A9048511A0F2A945D110086829EFC9E0F0032028 | |
60 | +:200760005480BA8650A2D9A9C348289A086829EFC9E1F000A200A9C348289A086829EFC999 | |
61 | +:2007800063F000A6509AA000A90BA9C3482898086829EFC963F00320548098C900F003209F | |
62 | +:2007A0005480A0B0A900A9C3482898086829EFC9E1F00320548098C9B0F003205480A976C8 | |
63 | +:2007C0008572A9058573A9918D7605A2A3A9C34828A99961CF08AA6829EFC961F0032054E4 | |
64 | +:2007E00080E02BF0032054802860AD648F8500AD658F850120BA8D18900320548038B003C2 | |
65 | +:20080000205480A900F00320548018E9053003205480D0032054801869061003205480389A | |
66 | +:20082000A992E946700320548038A992E9125003205480A900A200F07B4CB488EAEAEAEA4C | |
67 | 67 | :20084000EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEA58 |
68 | 68 | :20086000EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEA38 |
69 | 69 | :20088000EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEA18 |
70 | -:2008A000EAEAEAEAEAEAEAEAE8E001F080A900A200F07B4C2E89EAEAEAEAEAEAEAEAEAEAD2 | |
71 | -:2008C000EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAD8 | |
70 | +:2008A000EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAE8E001F080A900A200F07B4CB5 | |
71 | +:2008C0003A89EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAE9 | |
72 | 72 | :2008E000EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAB8 |
73 | 73 | :20090000EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEA97 |
74 | -:20092000EAEAEAEAEAEAEAEAEAEAEAEAEAEAE8E001F08018A964204089C9C8F00E20548021 | |
75 | -:20094000204489602048896069646038A9C84C5B8969324C60894C518969324C568969329C | |
76 | -:20096000C9FBF00320548038A9C36C6D897F8975897A8984896C718969E669E66C73896CD8 | |
77 | -:200980006F8969E6C9AAF003205480A92348A94648A96E48380878081808A9FF08A90008CB | |
78 | -:2009A000A9FF28F0032054802830032054802890032054802828B0032054805868C96EF0A4 | |
79 | -:2009C0000320548068C946F00320548068C923F00320548060AD6A8F8500AD6B8F850120AF | |
80 | -:2009E000AE8DA939856BA9A18DCC04A99F85FFA2FD066BA56BC972F003205480CECC04ADEA | |
81 | -:200A0000CC04C9A0F0032054805ECF03ADCC04C950F003205480F602A5FFC9A0F00320549D | |
82 | -:200A2000801836023602A5FFC981F0032054803876027602A5FFC9E0F00320548060AD7CF4 | |
83 | -:200A40008F8500AD7D8F850120AE8DA97885A1A90585A2A9B7A2E1A08A85A98E990A9D8043 | |
84 | -:200A60000D941F91A1C5A9F003205480EC990AF003205480CD610EF003205480C400F003DF | |
85 | -:200A8000205480CD0206F003205480A9F185B0A90585B1A27CA9618134ADF105C961F00356 | |
86 | -:200AA000205480A9AA8520A9048521A0EC8CAA04A21BA105C9ECF00320548060AD8E8F8583 | |
87 | -:200AC00000AD8F8F850120AE8D18A90D69FAC907F003205480A937855EA9C98571A9B625D3 | |
88 | -:200AE0005E2471F003205480A93B8D2104A9D78D1B05A9EB8DCC06AE2104E88A4D1B05A80C | |
89 | -:200B0000CCCC06F003205480A217A0A1A92F8D5903A9909990018A19900138FD4203A8C01C | |
90 | -:200B200068F003205480A2CDA9F18535A9AC85BCA98D3568A09A592200AAE02DF003205467 | |
91 | -:200B400080A9388590A9088591A9D98D0209A90AA0CA187190C9E3F003205480A93385C054 | |
92 | -:200B6000A90485C1A9D08D3304A26BA922386155C9F3F003205480A93485C1A90485C2A91C | |
93 | -:200B8000F58D3404E8A91F2155C915F003205480A93585C2A90485C3A0758C3504E8A975B6 | |
94 | -:200BA000C155F003205480A93685C3A90485C4A9888D3604E8A9C14155C949F00320548042 | |
95 | -:200BC000A93785C4A90485C5A92E8D3704E8A9910155C9BFF003205480A93885C5A9048512 | |
96 | -:200BE000C6A97F8D3804E8A96A18E155C9EAF00320548060ADA08F8500ADA18F850120AE69 | |
97 | -:200C00008DA980180AF003205480B00320548010032054800AF003205480900320548010DF | |
98 | -:200C200003205480A9400AD00320548090032054803003205480C980F003205480A9A50ACD | |
99 | -:200C4000C94AF0032054800AC994F003205480A901084828B00320548018900320548028BC | |
100 | -:200C6000A9400848287003205480B8500320548028A203CAD003205480E002F00320548086 | |
101 | -:200C80001003205480CAD003205480E001F0032054801003205480CAF003205480E000F06C | |
102 | -:200CA000032054801003205480CAD0032054803003205480E0FFF003205480A28030032043 | |
103 | -:200CC0005480CA1003205480E07FF003205480A05088C04FF00320548088C04EF0032054BE | |
104 | -:200CE00080C8C04FF003205480E8E080F003205480A901184AF003205480B0032054804AA3 | |
105 | -:200D0000F0032054809003205480A95A4AC92DF003205480A9A5386AC9D2F0032054806A5F | |
106 | -:200D20009003205480C9E9F003205480182A2AC9A5F003205480B00320548008A90048280A | |
107 | -:200D4000900320548038B0032054807808682904D0032054805808682904F003205480284D | |
108 | -:200D6000A901AA1003205480D003205480E001F003205480CA8A1003205480F00320548047 | |
109 | -:200D8000A901A8C8C898C003F003205480BA8600A2309AA9DD48BAE02FF003205480A6005F | |
110 | -:200DA0009AA9EEAD3001C9DDF0032054806020068EAD00038D0620AD01038D0620A000B16B | |
111 | -:200DC000008D0720F004C84CBF8D98291FC91FF009A9008D0720C84CCA8DAD01038C010341 | |
112 | -:200DE0006D01038D0103AAAD000390061869018D0003C923D00F8AC9C0D00AA9208D0003DE | |
113 | -:200E0000A9008D010360AD3D9FD00268686020068EA9008D00208D04038D01208D0503A923 | |
114 | -:200E20003F8D0620A9008D0620A200A020BD388E8D0720E888D0F6600F0010200F041424AB | |
115 | -:200E40000F0818280F0C1C2C0F0010200F0616260F0818280F0A1A2AAD3D9FF028A9208D9D | |
116 | -:200E60000003A9018D0103A9008D0203A9008D0303A9008D0403A9008D0503A9008D060303 | |
117 | -:200E8000A9008D070360888E72656772657373696F6E20746573742073746172742E2E2EA3 | |
118 | -:200EA00000A38E74657374207375636365656465642E2E2E00B78E74657374206661696C31 | |
119 | -:200EC000656421212100C88E6164647265737320746573742E2E2E00DA8E73746174757334 | |
120 | -:200EE00020746573742E2E2E00EB8E7061676520626F726465722063726F7373696E67202C | |
121 | -:200F0000746573742E2E2E000A8F646D6120746573742E2E2E00188F737072697465207480 | |
122 | -:200F20006573742E2E2E00298F73696D706C652073707269746520746573742028646D6188 | |
123 | -:200F4000292E2E2E00478F70707520696E737420746573742E2E2E005A8F613520696E7382 | |
124 | -:200F60007420746573742E2E2E006C8F613420696E737420746573742E2E2E007E8F61331A | |
125 | -:200F800020696E737420746573742E2E2E00908F613220696E737420746573742E2E2E000F | |
126 | -:200FA000A28F73696E676C65206279746520696E737420746573742E2E2E00000000000062 | |
127 | -:200FC000000000000000000000000000000000000000000000000000000000000000000011 | |
74 | +:20092000EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAE8E001F08018A2 | |
75 | +:20094000A964204C89C9C8F00E205480205089602054896069646038A9C84C678969324C63 | |
76 | +:200960006C894C5D8969324C62896932C9FBF00320548038A9C36C79898B89818986899069 | |
77 | +:20098000896C7D8969E669E66C7F896C7B8969E6C9AAF003205480A92348A94648A96E4887 | |
78 | +:2009A000380878081808A9FF08A90008A9FF28F00320548028300320548028900320548041 | |
79 | +:2009C0002828B0032054805868C96EF00320548068C946F00320548068C923F003205480A9 | |
80 | +:2009E00060AD768F8500AD778F850120BA8DA939856BA9A18DCC04A99F85FFA2FD066BA5C6 | |
81 | +:200A00006BC972F003205480CECC04ADCC04C9A0F0032054805ECF03ADCC04C950F0032005 | |
82 | +:200A20005480F602A5FFC9A0F0032054801836023602A5FFC981F003205480387602760271 | |
83 | +:200A4000A5FFC9E0F00320548060AD888F8500AD898F850120BA8DA97885A1A90585A2A942 | |
84 | +:200A6000B7A2E1A08A85A98E990A9D800D941F91A1C5A9F003205480EC990AF003205480D9 | |
85 | +:200A8000CD610EF003205480C400F003205480CD0206F003205480A9F185B0A90585B1A277 | |
86 | +:200AA0007CA9618134ADF105C961F003205480A9AA8520A9048521A0EC8CAA04A21BA105D3 | |
87 | +:200AC000C9ECF00320548060AD9A8F8500AD9B8F850120BA8D18A90D69FAC907F003205493 | |
88 | +:200AE00080A937855EA9C98571A9B6255E2471F003205480A93B8D2104A9D78D1B05A9EB96 | |
89 | +:200B00008DCC06AE2104E88A4D1B05A8CCCC06F003205480A217A0A1A92F8D5903A990990A | |
90 | +:200B200090018A19900138FD4203A8C068F003205480A2CDA9F18535A9AC85BCA98D356893 | |
91 | +:200B4000A09A592200AAE02DF003205480A9388590A9088591A9D98D0209A90AA0CA1871C5 | |
92 | +:200B600090C9E3F003205480A93385C0A90485C1A9D08D3304A26BA922386155C9F3F0038C | |
93 | +:200B8000205480A93485C1A90485C2A9F58D3404E8A91F2155C915F003205480A93585C2D7 | |
94 | +:200BA000A90485C3A0758C3504E8A975C155F003205480A93685C3A90485C4A9888D36044E | |
95 | +:200BC000E8A9C14155C949F003205480A93785C4A90485C5A92E8D3704E8A9910155C9BF75 | |
96 | +:200BE000F003205480A93885C5A90485C6A97F8D3804E8A96A18E155C9EAF00320548060B7 | |
97 | +:200C0000ADAC8F8500ADAD8F850120BA8DA980180AF003205480B0032054801003205480B1 | |
98 | +:200C20000AF00320548090032054801003205480A9400AD0032054809003205480300320A1 | |
99 | +:200C40005480C980F003205480A9A50AC94AF0032054800AC994F003205480A901084828CE | |
100 | +:200C6000B00320548018900320548028A9400848287003205480B8500320548028A203CAA8 | |
101 | +:200C8000D003205480E002F0032054801003205480CAD003205480E001F003205480100351 | |
102 | +:200CA000205480CAF003205480E000F0032054801003205480CAD003205480300320548009 | |
103 | +:200CC000E0FFF003205480A2803003205480CA1003205480E07FF003205480A05088C04F67 | |
104 | +:200CE000F00320548088C04EF003205480C8C04FF003205480E8E080F003205480A90118E1 | |
105 | +:200D00004AF003205480B0032054804AF0032054809003205480A95A4AC92DF00320548019 | |
106 | +:200D2000A9A5386AC9D2F0032054806A9003205480C9E9F003205480182A2AC9A5F00320CA | |
107 | +:200D40005480B00320548008A9004828900320548038B0032054807808682904D003205435 | |
108 | +:200D6000805808682904F00320548028A901AA1003205480D003205480E001F00320548005 | |
109 | +:200D8000CA8A1003205480F003205480A901A8C8C898C003F003205480BA8600A2309AA998 | |
110 | +:200DA000DD48BAE02FF003205480A6009AA9EEAD3001C9DDF0032054806020128EAD00034C | |
111 | +:200DC0008D0620AD01038D0620A000B1008D0720F004C84CCB8D98291FC91FF009A9008DA0 | |
112 | +:200DE0000720C84CD68DAD01038C01036D01038D0103AAAD000390061869018D0003C92324 | |
113 | +:200E0000D00F8AC9C0D00AA9208D0003A9008D010360AD3D9FD00268686020128EA9008D92 | |
114 | +:200E200000208D04038D01208D0503A93F8D0620A9008D0620A200A020BD448E8D0720E837 | |
115 | +:200E400088D0F6600F0010200F0414240F0818280F0C1C2C0F0010200F0616260F081828B9 | |
116 | +:200E60000F0A1A2AAD3D9FF028A9208D0003A9018D0103A9008D0203A9008D0303A9008D33 | |
117 | +:200E80000403A9008D0503A9008D0603A9008D070360948E72656772657373696F6E207437 | |
118 | +:200EA0006573742073746172742E2E2E00AF8E74657374207375636365656465642E2E2EC2 | |
119 | +:200EC00000C38E74657374206661696C656421212100D48E616464726573732074657374F1 | |
120 | +:200EE0002E2E2E00E68E73746174757320746573742E2E2E00F78E7061676520626F7264FD | |
121 | +:200F000065722063726F7373696E6720746573742E2E2E00168F646D6120746573742E2EF5 | |
122 | +:200F20002E00248F73707269746520746573742E2E2E00358F73696D706C652073707269A3 | |
123 | +:200F4000746520746573742028646D61292E2E2E00538F70707520696E73742074657374B6 | |
124 | +:200F60002E2E2E00668F613520696E737420746573742E2E2E00788F613420696E7374207A | |
125 | +:200F8000746573742E2E2E008A8F613320696E737420746573742E2E2E009C8F61322069CB | |
126 | +:200FA0006E737420746573742E2E2E00AE8F73696E676C65206279746520696E737420746D | |
127 | +:200FC0006573742E2E2E00000000000000000000000000000000000000000000000000003B | |
128 | 128 | :200FE0000000000000000000000000000000000000000000000000000000000000000000F1 |
129 | 129 | :201000000000000000000000000000000000000000000000000000000000000000000000D0 |
130 | 130 | :201020000000000000000000000000000000000000000000000000000000000000000000B0 |
@@ -247,11 +247,11 @@ | ||
247 | 247 | :201EC0002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2AC2 |
248 | 248 | :201EE0002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2C9FEA6C29 |
249 | 249 | :201F0000FC9E4C2F9F4CFE944CFD954CFC964CFC974CFF984CFC994CFB9A4CF49B4CFC9C30 |
250 | -:201F20004C009D4CFD9D4C019E4CFE9E4C029FADC68E8500ADC78E850120AE8D6001000048 | |
250 | +:201F20004C009D4CFD9D4C019E4CFE9E4C029FADD28E8500ADD38E850120BA8D6001010023 | |
251 | 251 | :201F4000000000000000000000000000000000000000000000000000000000000000000081 |
252 | 252 | :201F6000000000000000000000000000000000000000000000000000000000000000000061 |
253 | 253 | :201F8000000000000000000000000000000000000000000000000000000000000000000041 |
254 | 254 | :201FA000000000000000000000000000000000000000000000000000000000000000000021 |
255 | 255 | :201FC000000000000000000000000000000000000000000000000000000000000000000001 |
256 | -:201FE00000000000000000000000000000000000000000000000000000007383008000006B | |
256 | +:201FE00000000000000000000000000000000000000000000000000000007F83008000005F | |
257 | 257 | :00000001FF |
@@ -40,59 +40,64 @@ add wave -label ea_carry -radix decimal -unsigned sim:/testbench_motones_sim/ | ||
40 | 40 | |
41 | 41 | |
42 | 42 | |
43 | -add wave -divider regs | |
44 | - | |
43 | +#add wave -divider regs | |
44 | +# | |
45 | 45 | #add wave -label pcl -radix hex sim:/testbench_motones_sim/sim_board/dbg_ppu_ctrl |
46 | 46 | #add wave -label pch -radix hex sim:/testbench_motones_sim/sim_board/dbg_ppu_mask |
47 | - | |
48 | -add wave -label acc -radix hex sim:/testbench_motones_sim/sim_board/dbg_acc | |
49 | -add wave -label sp -radix hex sim:/testbench_motones_sim/sim_board/dbg_sp | |
50 | -add wave -label x -radix hex sim:/testbench_motones_sim/sim_board/dbg_x | |
51 | -add wave -label y -radix hex sim:/testbench_motones_sim/sim_board/dbg_y | |
52 | -add wave -label status -radix hex sim:/testbench_motones_sim/sim_board/dbg_status | |
53 | - | |
54 | - | |
55 | -#add wave -divider ppu | |
56 | -#add wave -label ppu_clk sim:/testbench_motones_sim/sim_board/dbg_ppu_clk | |
57 | -#add wave -label ppu_ce_n sim:/testbench_motones_sim/sim_board/dbg_ppu_ce_n | |
58 | -#add wave -label ppu_ctrl -radix hex sim:/testbench_motones_sim/sim_board/dbg_ppu_ctrl | |
59 | -#add wave -label ppu_mask -radix hex sim:/testbench_motones_sim/sim_board/dbg_ppu_mask | |
60 | -##add wave -label ppu_status -radix hex sim:/testbench_motones_sim/sim_board/dbg_ppu_status | |
61 | -#add wave -label ppu_addr -radix hex sim:/testbench_motones_sim/sim_board/dbg_ppu_addr | |
62 | -#add wave -label ppu_data -radix hex sim:/testbench_motones_sim/sim_board/dbg_ppu_data | |
63 | - | |
64 | - | |
65 | -###add wave -divider vga_pos | |
66 | -####add wave -label nes_x -radix decimal -unsigned sim:/testbench_motones_sim/sim_board/dbg_ppu_addr | |
67 | -###add wave -label dbg_disp_nt -radix hex sim:/testbench_motones_sim/sim_board/dbg_disp_nt | |
68 | -###add wave -label dbg_disp_attr -radix hex sim:/testbench_motones_sim/sim_board/dbg_disp_attr | |
69 | -####add wave -label dbg_disp_ptn_h -radix hex sim:/testbench_motones_sim/sim_board/dbg_disp_ptn_h | |
70 | -####add wave -label dbg_disp_ptn_l -radix hex sim:/testbench_motones_sim/sim_board/dbg_disp_ptn_l | |
71 | -### | |
72 | -###add wave -divider vram | |
73 | -###add wave -label ale sim:/testbench_motones_sim/sim_board/dbg_ppu_scrl_x(0) | |
74 | -###add wave -label rd_n sim:/testbench_motones_sim/sim_board/dbg_ppu_scrl_x(1) | |
75 | -###add wave -label wr_n sim:/testbench_motones_sim/sim_board/dbg_ppu_scrl_x(2) | |
76 | -###add wave -label nt0_ce_n sim:/testbench_motones_sim/sim_board/dbg_ppu_scrl_x(3) | |
77 | -### | |
78 | -###add wave -radix hex -label v_addr sim:/testbench_motones_sim/sim_board/dbg_disp_ptn_l | |
79 | -###add wave -radix hex -label vram_ad sim:/testbench_motones_sim/sim_board/dbg_vram_ad | |
80 | -### | |
81 | -### | |
82 | -###add wave -label plt_ce_n sim:/testbench_motones_sim/sim_board/dbg_ppu_scrl_y(5) | |
83 | -###add wave -label plt_r_n sim:/testbench_motones_sim/sim_board/dbg_ppu_scrl_y(4) | |
84 | -###add wave -label plt_w_n sim:/testbench_motones_sim/sim_board/dbg_ppu_scrl_y(3) | |
85 | -###add wave -radix hex -label plt_addr {sim:/testbench_motones_sim/sim_board/dbg_disp_ptn_h(12 downto 8)} | |
86 | -###add wave -radix hex -label plt_data {sim:/testbench_motones_sim/sim_board/dbg_disp_ptn_h(7 downto 0)} | |
87 | -### | |
88 | -### | |
89 | -### | |
90 | -###add wave -divider vga_out | |
91 | -###add wave -label h_sync_n sim:/testbench_motones_sim/sim_board/v_sync_n | |
92 | -###add wave -label v_sync_n sim:/testbench_motones_sim/sim_board/h_sync_n | |
93 | -###add wave -label r -radix hex sim:/testbench_motones_sim/sim_board/r | |
94 | -###add wave -label g -radix hex sim:/testbench_motones_sim/sim_board/g | |
95 | -###add wave -label b -radix hex sim:/testbench_motones_sim/sim_board/b | |
47 | +# | |
48 | +#add wave -label acc -radix hex sim:/testbench_motones_sim/sim_board/dbg_acc | |
49 | +#add wave -label sp -radix hex sim:/testbench_motones_sim/sim_board/dbg_sp | |
50 | +#add wave -label x -radix hex sim:/testbench_motones_sim/sim_board/dbg_x | |
51 | +#add wave -label y -radix hex sim:/testbench_motones_sim/sim_board/dbg_y | |
52 | +#add wave -label status -radix hex sim:/testbench_motones_sim/sim_board/dbg_status | |
53 | + | |
54 | + | |
55 | +add wave -divider ppu | |
56 | +add wave -label ppu_clk sim:/testbench_motones_sim/sim_board/dbg_ppu_clk | |
57 | +add wave -label ppu_ce_n sim:/testbench_motones_sim/sim_board/dbg_ppu_ce_n | |
58 | +add wave -label ppu_ctrl -radix hex sim:/testbench_motones_sim/sim_board/dbg_ppu_ctrl | |
59 | +add wave -label ppu_mask -radix hex sim:/testbench_motones_sim/sim_board/dbg_ppu_mask | |
60 | +add wave -label ppu_status -radix hex sim:/testbench_motones_sim/sim_board/dbg_ppu_status | |
61 | +add wave -label ppu_addr -radix hex sim:/testbench_motones_sim/sim_board/dbg_ppu_addr | |
62 | +add wave -label ppu_data -radix hex sim:/testbench_motones_sim/sim_board/dbg_ppu_data | |
63 | + | |
64 | + | |
65 | +#add wave -divider vga_pos | |
66 | +##add wave -label nes_x -radix decimal -unsigned sim:/testbench_motones_sim/sim_board/dbg_ppu_addr | |
67 | +#add wave -label dbg_disp_nt -radix hex sim:/testbench_motones_sim/sim_board/dbg_disp_nt | |
68 | +#add wave -label dbg_disp_attr -radix hex sim:/testbench_motones_sim/sim_board/dbg_disp_attr | |
69 | +##add wave -label dbg_disp_ptn_h -radix hex sim:/testbench_motones_sim/sim_board/dbg_disp_ptn_h | |
70 | +##add wave -label dbg_disp_ptn_l -radix hex sim:/testbench_motones_sim/sim_board/dbg_disp_ptn_l | |
71 | +# | |
72 | +add wave -divider vram | |
73 | +#add wave -label ale sim:/testbench_motones_sim/sim_board/dbg_ppu_scrl_x(0) | |
74 | +#add wave -label rd_n sim:/testbench_motones_sim/sim_board/dbg_ppu_scrl_x(1) | |
75 | +#add wave -label wr_n sim:/testbench_motones_sim/sim_board/dbg_ppu_scrl_x(2) | |
76 | +#add wave -label nt0_ce_n sim:/testbench_motones_sim/sim_board/dbg_ppu_scrl_x(3) | |
77 | + | |
78 | +add wave -radix hex -label v_addr {sim:/testbench_motones_sim/sim_board/dbg_sp(5 downto 0)} | |
79 | +add wave -radix hex -label vram_ad sim:/testbench_motones_sim/sim_board/dbg_vram_ad | |
80 | + | |
81 | +#add wave -label plt_ce_n sim:/testbench_motones_sim/sim_board/dbg_ppu_scrl_y(5) | |
82 | +#add wave -label plt_r_n sim:/testbench_motones_sim/sim_board/dbg_ppu_scrl_y(4) | |
83 | +#add wave -label plt_w_n sim:/testbench_motones_sim/sim_board/dbg_ppu_scrl_y(3) | |
84 | +add wave -label oam_ce_n sim:/testbench_motones_sim/sim_board/dbg_ppu_scrl_y(2) | |
85 | +add wave -label oam_r_n sim:/testbench_motones_sim/sim_board/dbg_ppu_scrl_y(1) | |
86 | +add wave -label oam_w_n sim:/testbench_motones_sim/sim_board/dbg_ppu_scrl_y(0) | |
87 | +#add wave -radix hex -label plt_addr {sim:/testbench_motones_sim/sim_board/dbg_disp_ptn_h(12 downto 8)} | |
88 | +#add wave -radix hex -label plt_data {sim:/testbench_motones_sim/sim_board/dbg_disp_ptn_h(7 downto 0)} | |
89 | + | |
90 | + | |
91 | +add wave -divider oam | |
92 | +add wave -radix hex -label p_oam_addr {sim:/testbench_motones_sim/sim_board/dbg_disp_ptn_l (7 downto 0)} | |
93 | +add wave -radix hex -label p_oam_data {sim:/testbench_motones_sim/sim_board/dbg_disp_ptn_l (15 downto 8)} | |
94 | + | |
95 | +#add wave -divider vga_out | |
96 | +#add wave -label h_sync_n sim:/testbench_motones_sim/sim_board/v_sync_n | |
97 | +#add wave -label v_sync_n sim:/testbench_motones_sim/sim_board/h_sync_n | |
98 | +#add wave -label r -radix hex sim:/testbench_motones_sim/sim_board/r | |
99 | +#add wave -label g -radix hex sim:/testbench_motones_sim/sim_board/g | |
100 | +#add wave -label b -radix hex sim:/testbench_motones_sim/sim_board/b | |
96 | 101 | |
97 | 102 | |
98 | 103 | view structure |
@@ -159,47 +159,47 @@ mainloop: | ||
159 | 159 | ;;set sprite addr=0C (forth sprite) |
160 | 160 | ;;set sprite data: y=80 |
161 | 161 | lda #80 |
162 | - sta $040C | |
162 | + sta $020C | |
163 | 163 | ;;tile=0x4d (ascii 'd') |
164 | 164 | lda #$64 |
165 | - sta $040D | |
165 | + sta $020D | |
166 | 166 | ;;set sprite attr=03 (palette 03) |
167 | 167 | lda #$03 |
168 | - sta $040E | |
168 | + sta $020E | |
169 | 169 | ;;set sprite data: x=100 |
170 | 170 | lda #$64 |
171 | - sta $040F | |
171 | + sta $020F | |
172 | 172 | |
173 | 173 | ;;more sprite... |
174 | 174 | lda #90 |
175 | - sta $0410 | |
175 | + sta $0210 | |
176 | 176 | lda #$64 |
177 | - sta $0411 | |
177 | + sta $0211 | |
178 | 178 | lda #$03 |
179 | - sta $0412 | |
179 | + sta $0212 | |
180 | 180 | lda #50 |
181 | - sta $0413 | |
181 | + sta $0213 | |
182 | 182 | |
183 | 183 | lda #100 |
184 | - sta $0420 | |
184 | + sta $0220 | |
185 | 185 | lda #$65 |
186 | - sta $0421 | |
186 | + sta $0221 | |
187 | 187 | lda #$03 |
188 | - sta $0422 | |
188 | + sta $0222 | |
189 | 189 | lda #200 |
190 | - sta $0423 | |
190 | + sta $0223 | |
191 | 191 | |
192 | 192 | lda #30 |
193 | - sta $0430 | |
193 | + sta $0230 | |
194 | 194 | lda #$44 |
195 | - sta $0431 | |
195 | + sta $0231 | |
196 | 196 | lda #$03 |
197 | - sta $0432 | |
197 | + sta $0232 | |
198 | 198 | lda #200 |
199 | - sta $0433 | |
199 | + sta $0233 | |
200 | 200 | |
201 | 201 | ;;dma start. |
202 | - lda #$04 | |
202 | + lda #$02 | |
203 | 203 | sta $4014 |
204 | 204 | |
205 | 205 | rts |
@@ -528,6 +528,11 @@ mainloop: | ||
528 | 528 | .endproc |
529 | 529 | |
530 | 530 | .proc dma_test |
531 | + lda full_dma_test | |
532 | + bne :+ | |
533 | + rts | |
534 | +: | |
535 | + | |
531 | 536 | ;;dma test data. |
532 | 537 | ldy #$00 |
533 | 538 | ldx #$41 |
@@ -536,7 +541,7 @@ mainloop: | ||
536 | 541 | dma_set: |
537 | 542 | ;;y pos |
538 | 543 | txa |
539 | - sta $0400, y | |
544 | + sta $0200, y | |
540 | 545 | iny |
541 | 546 | ;;tile index |
542 | 547 | lda $00 |
@@ -546,23 +551,23 @@ dma_set: | ||
546 | 551 | sta $00 |
547 | 552 | inc_tile: |
548 | 553 | inc $00 |
549 | - sta $0400, y | |
554 | + sta $0200, y | |
550 | 555 | iny |
551 | 556 | ;;attribute |
552 | 557 | lda #$01 |
553 | - sta $0400, y | |
558 | + sta $0200, y | |
554 | 559 | iny |
555 | 560 | ;;x pos |
556 | 561 | txa |
557 | 562 | adc #$03 |
558 | 563 | tax |
559 | 564 | rol |
560 | - sta $0400, y | |
565 | + sta $0200, y | |
561 | 566 | iny |
562 | 567 | bne dma_set |
563 | 568 | |
564 | 569 | ;;dma start. |
565 | - lda #$04 | |
570 | + lda #$02 | |
566 | 571 | sta $4014 |
567 | 572 | |
568 | 573 | jsr check_ppu |
@@ -576,15 +581,20 @@ inc_tile: | ||
576 | 581 | .endproc |
577 | 582 | |
578 | 583 | |
579 | -.proc set_dma | |
584 | +.proc update_dma | |
585 | + lda full_dma_test | |
586 | + bne :+ | |
587 | + rts | |
588 | +: | |
589 | + | |
580 | 590 | ldy #0 |
581 | 591 | |
582 | 592 | y_loop: |
583 | - lda $0400, y | |
593 | + lda $0200, y | |
584 | 594 | |
585 | 595 | clc |
586 | 596 | adc #$1 |
587 | - sta $0400, y | |
597 | + sta $0200, y | |
588 | 598 | |
589 | 599 | iny |
590 | 600 | iny |
@@ -594,7 +604,7 @@ y_loop: | ||
594 | 604 | bne y_loop |
595 | 605 | |
596 | 606 | ;;dma start. |
597 | - lda #$04 | |
607 | + lda #$02 | |
598 | 608 | sta $4014 |
599 | 609 | |
600 | 610 | rts |
@@ -603,7 +613,7 @@ y_loop: | ||
603 | 613 | nmi_test: |
604 | 614 | jsr update_counter |
605 | 615 | jsr update_scroll |
606 | - jsr set_dma | |
616 | + jsr update_dma | |
607 | 617 | |
608 | 618 | rti |
609 | 619 |
@@ -3214,6 +3224,9 @@ ad_single_test: | ||
3214 | 3224 | use_ppu: |
3215 | 3225 | .byte $01 |
3216 | 3226 | |
3227 | +full_dma_test: | |
3228 | + .byte $01 | |
3229 | + | |
3217 | 3230 | ;;;;r/w global variables. |
3218 | 3231 | .segment "BSS" |
3219 | 3232 | vram_current: |