• R/O
  • HTTP
  • SSH
  • HTTPS

Commit

Tags
No Tags

Frequently used words (click to add to your profile)

javac++androidlinuxc#windowsobjective-ccocoa誰得qtpythonphprubygameguibathyscaphec計画中(planning stage)翻訳omegatframeworktwitterdomtestvb.netdirectxゲームエンジンbtronarduinopreviewer

Moto NES FPGA main repository


Commit MetaInfo

Revision05536fe7d49d7566c910f8dcb32a52f44da11c0d (tree)
Time2016-10-07 22:01:51
Authorastoria-d <astoria-d@mail...>
Commiterastoria-d

Log Message

investigation. bug found. sprite not comming!

Change Summary

Incremental Difference

--- a/de0_cv_nes/.gitignore
+++ b/de0_cv_nes/.gitignore
@@ -1,4 +1,5 @@
11 *.bak
2+*.log
23 *.echo
34 *.qws
45 *.rpt
--- a/de0_cv_nes/de0_cv_nes.vhd
+++ b/de0_cv_nes/de0_cv_nes.vhd
@@ -327,7 +327,7 @@ begin
327327
328328 --cpu ram inst.
329329 cpu_ram_inst : ram generic map
330- (ram_2k, 8, "mem-before-02b1000000000000.bin") port map (
330+ (ram_2k, 8, "mem-before-02bd000000000000.bin") port map (
331331 pi_base_clk,
332332 wr_ram_ce_n,
333333 wr_oe_n,
--- a/de0_cv_nes/mos6502.vhd
+++ b/de0_cv_nes/mos6502.vhd
@@ -247,14 +247,14 @@ signal reg_exc_cnt : std_logic_vector (63 downto 0);
247247 --constant INIT_PCH : std_logic_vector (7 downto 0) := "00000000";
248248 --constant INIT_EXC_CNT : std_logic_vector (63 downto 0) := conv_std_logic_vector(16#0#, 64);
249249
250-constant INIT_ACC : std_logic_vector (7 downto 0) := conv_std_logic_vector(16#91#, 8);
250+constant INIT_ACC : std_logic_vector (7 downto 0) := conv_std_logic_vector(16#95#, 8);
251251 constant INIT_X : std_logic_vector (7 downto 0) := conv_std_logic_vector(16#0d#, 8);
252252 constant INIT_Y : std_logic_vector (7 downto 0) := conv_std_logic_vector(16#1d#, 8);
253253 constant INIT_SP : std_logic_vector (7 downto 0) := conv_std_logic_vector(16#fc#, 8);
254254 constant INIT_STATUS : std_logic_vector (7 downto 0) := conv_std_logic_vector(16#a5#, 8);
255255 constant INIT_PCL : std_logic_vector (7 downto 0) := conv_std_logic_vector(16#82#, 8);
256256 constant INIT_PCH : std_logic_vector (7 downto 0) := conv_std_logic_vector(16#80#, 8);
257-constant INIT_EXC_CNT : std_logic_vector (63 downto 0) := conv_std_logic_vector(16#02b1#, 16) & conv_std_logic_vector(0, 48);
257+constant INIT_EXC_CNT : std_logic_vector (63 downto 0) := conv_std_logic_vector(16#02bd#, 16) & conv_std_logic_vector(0, 48);
258258
259259 constant DEBUG_SW : integer := 1;
260260
Binary files a/doc/debug-work.xlsx and b/doc/debug-work.xlsx differ